基于fpga温度监测系统的设计内容摘要:
可编程 的分辨率为 9~ 12位,对应的可分辨温度分别为 ℃、 ℃、 ℃和 ℃,可实现高精度测温。 在 9位分辨率时最多在 内把温度转换为数字, 12位分辨率时最多在 750ms 内把温度值转换为数字,速度更快 测量结果直接输出数字温度信号,以 一 线总线 串行传送给 CPU,同时可传送 CRC 校验码,具有极强的抗干扰纠错能力 负压特性:电源极性接反时,芯片不会因发热而烧毁, 但不能正常工作。 DS18B20的外形和内部结构: DS18B20内部结构主要由四部分组成: 64位光刻 ROM 、温度传感器、非挥发的温度报警触发器 TH 和 TL、配置寄存器。 DS18B20引脚定义: (1)DQ 为数字信号输入 /输出端; (2)GND 为电源地; (3)VDD 为外接供电电源输入端(在寄生电源接线方式时接地)。 DS18B20工作原理: DS18B20的读写时序和测温原理与 DS1820相同,只是得到的温度值的位数因分辨率不同而不同,且温度转换时的延时时间由2s 减为 750ms。 DS18B20测温原理如图 3所示。 图中低温度系数晶振的振荡频率受温度影响很小,用于产生固定频率的脉冲信号送给计数器 1。 高温度系数晶振 随温度变化其振荡率明显改变,所产生的信号作为计数器 2的脉冲输入。 计数器 1和温度寄存器被预置在- 55℃所对应的一个基数值。 计数器 1对 低温度系数晶振产生的脉冲信号进行减法计数,当计数器 1的预置值减到 0时,温度寄存器的值将加 1,计数器 1的预置将重新被装入,计数器 1重 新开始对低温度系数晶振产生的脉冲信号进行计数,如此循环直到计数器 2计数到 0时,停止温度寄存器值的累加,此时温度寄存器中的数值即 为所测温度。 图 3中的斜率累加器用于补偿和修正测温过程中的非线性,其输出用于修正计数器 1的预置值。 DS18BCD0引脚功能图下表 1所示 表 DS18BCD0引脚功能描述 序号 名称 引脚功能描述 1 GND 地信号 2 DQ 数据输入 /输出引脚。 开漏单总线接口引脚。 当被用着在寄生电源下,也可以向器件提供电源。 3 VDD 可选择的 VDD 引脚。 当工作于寄生电源时,此引脚必须接地。 基于FPGA温度监测系统的设计 14 发光二极管 它是半导体二极管的一种,可以把电能转化成光能;常简写为 LED。 发光二极管与普通二极管一样是由一个 PN 结组成,也具有单向导电性。 当给发光二极管加上正向电压后,从 P 区注入到 N 区的空穴和由 N 区注入到 P区的电子,在 PN 结附近数微米内分别与 N 区的电子和 P 区的空穴复合,产生自发辐射的荧光。 不同的半导体材料中电子和空穴所 处的能量状态不同。 当电子和空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。 常用的是发红光、绿光或黄光的二极管。 发光二极管的反向击穿电压约 5 伏。 它的正向伏安特性曲线很陡,使用时必须串联限流电阻以控制通过管子的电流。 发光二极管电路图形符号及实物硬件图如下图 、 所示 图 发光二极管电路符号 图 发光二级管实物图 发光二极管物理特性: 发光二极管的两根引线中较长的一根为正极,应接电源正极。 有的发光二极管的两根引 线一样长,但管壳上有一凸起的小舌,靠近小舌的引线是正极。 与小白炽灯泡和氖灯相比,发光二极管的特点是:工作电压很低(有的仅一点几伏);工作电流很小(有的仅零点几毫安即可发光);抗冲击和抗震性能好,可靠性高,寿命长;通过调制通过的电流强弱可以方便地调制发光的强弱。 由于有这些特点,发光二极管在一些光电控制设备中用作光源,在许多电子设备中用作信号显示器。 把它的管心做成条状,用 7条条状的发光管组成 7段式半导体数码管,每个数码管可显示 0~ 9十个数目字。 它的基本结构是一块电致发光的半导体材料,置于一个有引线的架子 上,然后四周用环氧树脂密封,起到保护内部芯线的作用,所以 LED 的基于FPGA温度监测系统的设计 15 抗震性能好。 发光二极管的核心部分是由 P 型半导体和 N 型半导体组成的晶片,在 P 型半导体和 N 型半导体之间有一个过渡层,称为 PN结。 在某些半导体材料的 PN结中,注入的少数载流子与多数载流子复合时会把多余的能量以光的形式释放出来,从而把电能直接转换为光能。 PN 结加反向电压,少数载流子难以注入,故不发光。 这种利用注入式电致法官原理制作的二极管叫发光二极管,通称 LED。 当它处于正向工作状态时(即两端加上正向电压),电流从 LED阳极流向阴极时,半导体晶体就发 出从紫外到红外不同颜色的光线,光的强弱与电流有关。 以下是传统发光二极管所使用的无基半导体物料和所它们发光的颜色: 铝砷化镓 (AlGaAs)红色及红外线;铝磷化镓 (AlGaP)绿色;磷化铝铟镓 (AlGaInP)高亮度的橘红色,橙色,黄色,绿色;磷砷化镓 (GaAsP)红色,橘红色,黄色;磷化镓 (GaP)红色,黄色,绿色;氮化镓 (GaN)绿色,翠绿色,蓝色;铟氮化镓 (InGaN)近紫外线,蓝绿色,蓝色;碳化硅 (SiC)(用作衬底 )蓝色;硅 (Si)(用作衬底 )蓝色;蓝宝石 (Al2O3)(用作衬底 )蓝色 zincselenide(ZnSe)蓝色;钻石 (C)紫外线;氮化铝波长为远至近的紫外线。 发光二极管特点: 电压: LED 使用低压电源,供电电压在 624V 之间,根据产品不同而异,所以它是一个比使用高压电源更安全的电源,特别适用于公共场所。 效能:消耗能量较同光效的白炽灯减少 80%。 适用性:体积很小,每个单元 LED小片是 35mm 的正方形,所以可以制备成各种形状的器件,并且适合于易变的环境。 稳定性: 10万小时,光衰为初始的 50%。 响应性:其白炽灯的响应时间为毫秒级, LED 灯 的响应时间为纳秒级。 对环境污染:无有害金属汞。 颜色:发光二极管方便地通过化学修饰方法,调整材料的能带结构和禁带宽度,实现红黄绿蓝橙多色发光。 红光管工作电压较小,颜色不同的红、橙、黄、绿、蓝的发光二极管的工作电压依次升高。 价格: LED的价格现在越来越平民化,因 LED 省电的特性,也许不久的将来,人们都会的把白炽灯换成 LED 灯。 现在,我国部分城市公路、学校、厂区等场所已换装万 LED 路灯、节能灯等。 按键 按键实物图如下图 所示 图 按键键盘实物图 基于FPGA温度监测系统的设计 16 由四个按键组成的键盘实现四 种不同的功能:按键 1 按下实现键盘 keyset功能;按键 2 按下实现加法 adder 功能;按键 3 按下实现进入 enter 功能;按键4 按下实现复位 rst 功能。 在连接该 4 脚按键时,首先得用万用表测出哪两个引脚是一组,在电路连接时只需要使用其中的一组即可。 当按键按下时,按键电路接通实现相应功能;当按键弹上时,按键断开电路。 硬件电路的连接 系统硬件电路板如下图 所示 图 硬件电路板 基于FPGA温度监测系统的设计 17 系统硬件电路连接如下图 所示 图 硬件电路连接 硬件电路连接的说明 DS18B20:左右两引脚分别接电源 VCC 和地 GND,中间引脚接一个上拉电阻接到电源 VCC,并且中间引脚接到 FPGA 芯片的 I/O 端口;四个按键:一端接地 GND,一端接上拉电阻接到电源 VCC,并且接到 FPGA 芯片的 I/O 端口;发光二极管:一端接到三极管,三极管的 B 端接到 FPGA 芯片的 I/O 端口, C 端接一电阻接到地 GND,另一端接到电源 VCC; 1602 液晶: 1 脚接地 GND, 2 脚接电源 VCC, 3 脚通过一电阻接到地 GND, R、 E、 S 对应接到 FPGA 芯片的 I/O 端口, 8 位数据端 FPGA芯片的 I/O 端口,最后接上背光。 最后将 FPGA 芯片上的电源 VCC 以及所有的 VCC接在一起引出一根电源线,再将 FPGA 芯片上的地 GND 以及所有的 GVD 接在一起引出一根接地线。 特别需注意键盘的连接,以及跳线时切忌导线短路。 基于FPGA温度监测系统的设计 18 第三章 软件设计 基于FPGA温度监测系统的软件原理框图如下图 所示 图 软件原理框图 基于 Quartus 软件 仿真波形 仿真前各信号的波形图如下图 所示 基于FPGA温度监测系统的设计 19 图 仿真前波形图 仿真后各波形图如下图所示 图 仿真后各波形图 基于硬件基础上的软件电路 二分频电路 二分频电路参考程序如下: library ieee。 use。 use。 use。 entity FP2 is port ( clk20M : in std_logic。 CLK10M : buffer std_logic。 clk200hz : buffer std_logic)。 end fp2。 architecture behav of fp2 is signal temp:integer range 0 to 8000。 begin 基于FPGA温度监测系统的设计 20 process(clk20m) begin if clk20m39。 event and clk20m=39。 139。 then clk10m=not clk10m。 end if。 end process。 process(clk20m) begin if clk20m39。 event and clk20m=39。 139。 then if temp7999 then temp=temp+1。 else temp=0。 clk200hz=not clk200hz。 end if。 end if。 end process。 end behav。 键盘电路 按键 1 的参考程序如下: LIBRARY IEEE。 USE。 USE。 entity key is port(keyset:in std_logic。 ent:in std_logic。 keyout:buffer std_logic_vector(1 downto 0))。 end key。 architecture behav of key is begin process(keyset,ent) begin if ent=39。 139。 then keyout=00。 else if rising_edge(keyset) then if keyout=10 then keyout=00。 else keyout=keyout+1。 end if。 end if。 end if。 基于FPGA温度监测系统的设计 21 end process。 end behav。 按键 2 的参考程序如下: LIBRARY IEEE。 USE。 USE。 entity keyhl is port(mode:in std_logic_vector(1 downto 0)。 hi:in std_logic。 highs,lows:out std_logic_vector(7 downto 0))。 end keyhl。 architecture behav of keyhl is begin process(mode,hi) variable high,low:std_logic_vector(7 downto 0)。 begin if rising_edge(hi) then if mode=01 then if high=10011001 then high:=00000000。 elsif high(3 DOWNTO 0)=1001 THEN high:=high+0111。 ELSE high:=high+1。 END IF。 elsif mode=10 then if low=10011001 then low:=00000000。 elsif low(3 DOWNTO 0)=1001 THEN low:=low+0111。 ELSE low:=low+1。基于fpga温度监测系统的设计
相关推荐
)论文(设计)题目 基于 FPGA的 HDB3码的编码器 阅酷讨筛侨布狄心捅后蚁邢镀降翌楷悦东奇事汗摩塑葫帖率肚星契目骨跨傍胯俱小鹏少族杏灯啮菌窒畦妻咐碉诣辩剐洽堤院洗岭除奉酝卡拄纲当铣 HDB3 编码器的极性转换模块设计及仿真 ................. 21 基于 FPGA的 HDB3码的编译码器与译码器设计 (软件设计 ) 基于 FP GA的
铁信可综合物流等重点项目 开工,促进瑞典 ABB 机器人工程中心、中德工业 科技城、中德双元制职业教育培训基地、华晨宝马实训中心等项目落地,推动德国商会沈阳代表处入驻铁西,力争引进德资等企业 30 家以上。 创新园区开发建设管理模式。 以中德大街建设为牵动,加快拓展中德大街两翼、宝马公园东侧、浑河西峡谷周边等区域发展空间,推进市政、绿化、生态等基础设施建设,完善配套服务功能。
1、托竹荪是我国特产,肉厚味香,营养丰富,为上等“山珍”,每千克干品外贸出口价值在 5080 美元。 有关红托竹荪的栽培技术及管理经验如下。 1 菌种选择与制作目前国内人工驯化栽培的红托竹荪品种较多,宜选用发菌快、出菇早、产量高,且干品品味较高的优良品种,而且还应选择接种 1 年可连收23 年的品种。 原种和栽培种制作配方:木屑或棉籽壳 76%,麦皮 20%,白糖 1%,石灰粉 2%,过磷酸钙
统时钟脉冲(它是系统内部所有时钟脉冲的源头,且其频率最高)、弹跳消除取样信号、键盘扫描信号。 当一个 系统中需使用多种操作频率的脉冲波形时,最方便的方法之一就是利用一 计数器来产生各种需要的频率。 也就是先建立一个 N 位计数器, N 的大小根据电路的需求决定, N 的值越大,电路可以分频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。 若输入时钟为 CLK, N位
8 字节: 2 个接收邮箱,2个发送邮箱; 可编程的位定时器; 对邮箱0、 1 和 3 有局域接收屏蔽寄存器; 中断配置可编程; 可编程的 CAN 总线唤醒功能; 自动回复远程请求; 当 发送时出现错误或仲裁时丢失数据, CAN 控制器有自动重发送功能; 浙江理工大学 信息电子学院 07 电子信息工程 1 班 叶海莉 总线错误诊断功能; 自测试模式。 CAN